六月丁香五月婷婷,丁香五月婷婷网,欧美激情网站,日本护士xxxx,禁止18岁天天操夜夜操,18岁禁止1000免费,国产福利无码一区色费

學(xué)習(xí)啦>實(shí)用范文>辦公文秘>員工手冊(cè)>

畢業(yè)論文手冊(cè)范文

時(shí)間: 玉鳳635 分享

  畢業(yè)論文就是高等院校應(yīng)屆畢業(yè)生獨(dú)立完成的一篇總結(jié)性的學(xué)術(shù)論文。本文是畢業(yè)論文的手冊(cè)范文,僅供參考。

  本科畢業(yè)論文(設(shè)計(jì))手冊(cè)

  題 目:____

  院 系:____

  專 業(yè):____

  學(xué) 號(hào):____

  姓 名:____

  指導(dǎo)教師:____職稱:____

  成 績(jī):____

  ____學(xué)院教務(wù)處制

  學(xué)位論文原創(chuàng)性聲明

  茲呈交的學(xué)位論文,是本人在指導(dǎo)老師指導(dǎo)下獨(dú)立完成的研究成果。本人在論文寫(xiě)作中參考的其他個(gè)人或集體的研究成果,均在文中以明確方式標(biāo)明。本人依法享有和承擔(dān)由此論文而產(chǎn)生的權(quán)利和責(zé)任。

  聲明人(簽名):

  年 月 日

  目 錄

  (一)本科畢業(yè)論文(設(shè)計(jì))任務(wù)書(shū)…………………………………………3

  (二)本科畢業(yè)論文(設(shè)計(jì))開(kāi)題報(bào)告………………………………………4

  (三)本科畢業(yè)論文(設(shè)計(jì))指導(dǎo)記錄………………………………………6

  (四)本科畢業(yè)論文(設(shè)計(jì))中期檢查表…………………………………8

  (五)本科畢業(yè)論文(設(shè)計(jì))答辯資格審查表………………………………9

  (六)本科畢業(yè)論文(設(shè)計(jì))答辯記錄………………………………………10

  (七)本科畢業(yè)論文(設(shè)計(jì))成績(jī)?cè)u(píng)定書(shū)……………………………………11

  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))任務(wù)書(shū)

題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)

 一、課題的內(nèi)容和任務(wù)要求

  以可編程邏輯器件為載體,EDA軟件為開(kāi)發(fā)工具,設(shè)計(jì)一個(gè)簡(jiǎn)易的八音符電子琴,它可通過(guò)按鍵輸入來(lái)控制音響,同時(shí)系統(tǒng)演奏時(shí)可以選擇是手動(dòng)演奏(由鍵盤輸入)還是自動(dòng)演奏已存入的樂(lè)曲,并且能夠自動(dòng)演奏多首樂(lè)曲,且樂(lè)曲可重復(fù)演奏。

  第一階段,通過(guò)參閱文獻(xiàn)及相關(guān)資料確定電子琴的設(shè)計(jì)方案,構(gòu)建電路功能模塊,利用相關(guān)軟件繪畫(huà)出電路圖,要求圖形繪制完整、精確、符合規(guī)范。第二階段,以硬件描述語(yǔ)言為系統(tǒng)邏輯描述的表達(dá)方式在EDA軟件平臺(tái)上編寫(xiě)源程序,要求設(shè)計(jì)合理、性能得到優(yōu)化、器件利用率最高。第三階段,對(duì)所編寫(xiě)的程序進(jìn)行仿真以確定能否達(dá)到要求并根據(jù)具體情況對(duì)程序不斷改進(jìn)。最后下載配置至EDA實(shí)驗(yàn)箱中檢測(cè)設(shè)計(jì)結(jié)果實(shí)現(xiàn)最后的設(shè)計(jì)與仿真。

  二、進(jìn)度安排(起止時(shí)間:2010 年 2 月 20 日 ~ 2011 年04月20日)

  2月20日~2月25日  查閱資料,熟悉課題,完成開(kāi)題報(bào)告。

  2月26日~3月28日 相關(guān)算法及具體功能模塊設(shè)計(jì),完成初稿。

  3月28日~4月06日  寫(xiě)出源程序并進(jìn)行調(diào)試,完成中稿及中期檢查。

  4月07日~4月20日  完成終稿,論文版式調(diào)整,打印并準(zhǔn)備答辯。

  三、主要參考資料

  [1] 張 原.可編程邏輯器件設(shè)計(jì)及應(yīng)用[M]. 北京:機(jī)械工業(yè)出版社,2005,10-30.

  [2] 王振紅.VHDL數(shù)字電路設(shè)計(jì)與應(yīng)用實(shí)踐教程[M]. 北京: 科學(xué)出版社, 2005, 45-60.

  [3] 曾繁泰,陳美金.VHDL程序設(shè)計(jì)注意事項(xiàng)[J]. 北京:清華大學(xué)學(xué)報(bào),2009(20):80-15.

  [4] 黃正謹(jǐn).CPLD系統(tǒng)設(shè)計(jì)技術(shù)入門與應(yīng)用[J]. 北京:北京科技大學(xué)學(xué)報(bào),2008(16):23-13.

  [5] 徐志軍,徐光輝.CPLD/FPGA的開(kāi)發(fā)和應(yīng)用的研究[J]. 北京:北京理工大學(xué)學(xué)報(bào),2010(33): 35-28.

  [6] 閻 石.基于EDA技術(shù)設(shè)計(jì)方法的概述[J].上海:上海交通大學(xué)學(xué)報(bào),2010(54):32-78.

  [7] 王金明,楊吉斌.數(shù)字系統(tǒng)設(shè)計(jì)與VHDL綜述[J] .單片機(jī)與嵌入式系統(tǒng)應(yīng)用期刊,2010(11):21-27.

  [8] 候伯亨.基于Max+PlusII的FPGA設(shè)計(jì)[J].電子技術(shù)期刊,2009(23):54-96.

  [9] 朱正偉.Max+PlusII設(shè)計(jì)方法詳述[J].安徽:合肥工業(yè)大學(xué)學(xué)報(bào),2009(52):16-30.

  [10] 將景旗,刁嵐松.硬件描述語(yǔ)言VHDL設(shè)計(jì)應(yīng)用實(shí)例[J].北京:西安交通大學(xué)學(xué)報(bào),2008:50-60.

  [11] David R.Coehl.The VHDL handbook[J].Kluwer Academic Pubishers,2006(15):11-50.

  [12] Jan M.Rabaey.Digital Integrated Circuits A Design Perspective[J].Prentice Hall,2008(26):23-15.


學(xué)生簽名: 指導(dǎo)教師簽名: 院系領(lǐng)導(dǎo)簽名:
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))開(kāi)題報(bào)告
題目 基于EDA技術(shù)的電子琴設(shè)計(jì)
題目性質(zhì) □實(shí)驗(yàn)研究 □技術(shù)開(kāi)發(fā) □工程設(shè)計(jì) □應(yīng)用型 □調(diào)查型其它

  一、選題依據(jù)和目標(biāo)(該研究的目的、意義、國(guó)內(nèi)外研究現(xiàn)狀及發(fā)展趨勢(shì))

  隨著社會(huì)的發(fā)展、科技的進(jìn)步,電子琴的發(fā)明極大的推動(dòng)了流行音樂(lè)的發(fā)展,同時(shí)可以演奏出未從擁有的音色從而豐富了人們的感情表現(xiàn),并且推動(dòng)了音樂(lè)的普及使音樂(lè)成為了大眾的音樂(lè)。電子琴作為科技與音樂(lè)的產(chǎn)物,在信息化和電子化的時(shí)代,為音樂(lè)的大眾化做出了不可磨滅的貢獻(xiàn)。

  現(xiàn)代的電子琴一般使用PCM或PWM采樣音源,并非“模仿”樂(lè)器的音色,而是使用的真實(shí)樂(lè)器音色,還加上了老式電子琴的濾波器,振蕩器,包絡(luò)線控制來(lái)制造和編輯音色。

  隨著EDA技術(shù)的大力發(fā)展,F(xiàn)PGA等數(shù)字可編程器件的出現(xiàn)和發(fā)展,基于EDA技術(shù)的電子琴設(shè)計(jì)也就變得更加簡(jiǎn)單,而且性能更穩(wěn)定、能實(shí)現(xiàn)較復(fù)雜的功能,且運(yùn)用EDA軟件可方便的在計(jì)算機(jī)上實(shí)現(xiàn)設(shè)計(jì)與仿真。本設(shè)計(jì)基于VHDL(FPGA)語(yǔ)言是電子設(shè)計(jì)領(lǐng)域中最具活力和發(fā)展前途的一項(xiàng)技術(shù),未來(lái)必定會(huì)取代部分落伍的數(shù)字元元件。

  二、課題關(guān)鍵問(wèn)題及難點(diǎn)

  本課題的關(guān)鍵問(wèn)題在于將實(shí)際的電子琴設(shè)計(jì)的功能轉(zhuǎn)化為數(shù)字邏輯輸入輸出并且將滿足這種輸入輸出關(guān)系的系統(tǒng)進(jìn)行分塊處理最后整合成能夠完成樂(lè)曲演奏的電子系統(tǒng)。

  本課題的難點(diǎn)就在于將實(shí)際的功能轉(zhuǎn)化為各個(gè)模塊的功能,并且用EDA技術(shù)在軟件環(huán)境中實(shí)現(xiàn)各個(gè)模塊的設(shè)計(jì)和封裝最后實(shí)現(xiàn)組合仿真。

  三、研究方案

  1. 擬采取的研究方法或試驗(yàn)方法及主要技術(shù)路線

  本課題主要查閱相關(guān)資料,應(yīng)用所學(xué)的專業(yè)課知識(shí),采用EDA技術(shù),按照EDA進(jìn)行電子設(shè)計(jì)的流程,用電子設(shè)計(jì)自動(dòng)化軟件MaxpluxII和VHDL語(yǔ)言實(shí)現(xiàn)各個(gè)模塊的設(shè)計(jì)和封裝組合并模擬仿真,不進(jìn)行實(shí)際電子電路的制作。

  2. 研究進(jìn)度安排

  第一階段:查閱資料,熟悉課題,完成開(kāi)題報(bào)告;

  第二階段:相關(guān)算法及具體功能模塊設(shè)計(jì),完成初稿;

  第三階段:寫(xiě)出源程序并進(jìn)行調(diào)試,完成中稿及中期檢查;

  第四階段:完成終稿,論文版式調(diào)整,打印并準(zhǔn)備答辯。

  四、參考文獻(xiàn)

  [1] 張 原.可編程邏輯器件設(shè)計(jì)及應(yīng)用[M]. 北京:機(jī)械工業(yè)出版社,2005,10-30.

  [2] 王振紅.VHDL數(shù)字電路設(shè)計(jì)與應(yīng)用實(shí)踐教程[M]. 北京: 科學(xué)出版社, 2005, 45-60.

  [3] 曾繁泰,陳美金.VHDL程序設(shè)計(jì)注意事項(xiàng)[J]. 北京:清華大學(xué)學(xué)報(bào),2009(20):80-15.

  [4] 黃正謹(jǐn).CPLD系統(tǒng)設(shè)計(jì)技術(shù)入門與應(yīng)用[J]. 北京:北京科技大學(xué)學(xué)報(bào),2008(16):23-13.

  [5] 徐志軍,徐光輝.CPLD/FPGA的開(kāi)發(fā)和應(yīng)用的研究[J]. 北京:北京理工大學(xué)學(xué)報(bào),2010(33): 35-28.

  [6] 閻 石.基于EDA技術(shù)設(shè)計(jì)方法的概述[J].上海:上海交通大學(xué)學(xué)報(bào),2010(54):32-78.

  [7] 王金明,楊吉斌.數(shù)字系統(tǒng)設(shè)計(jì)與VHDL綜述[J] .單片機(jī)與嵌入式系統(tǒng)應(yīng)用期刊,2010(11):21-27.

  [8] 候伯亨.基于Max+PlusII的FPGA設(shè)計(jì)[J].電子技術(shù)期刊,2009(23):54-96.

  [9] 朱正偉.Max+PlusII設(shè)計(jì)方法詳述[J].安徽:合肥工業(yè)大學(xué)學(xué)報(bào),2009(52):16-30.

  [10] 將景旗,刁嵐松.硬件描述語(yǔ)言VHDL設(shè)計(jì)應(yīng)用實(shí)例[J].北京:西安交通大學(xué)學(xué)報(bào),2008:50-60.

  [11] David R.Coehl.The VHDL handbook[J].Kluwer Academic Pubishers,2006(15):11-50.

  [12] Jan M.Rabaey.Digital Integrated Circuits A Design Perspective[J].Prentice Hall,2008(26):23-15.

五、指導(dǎo)教師意見(jiàn)
簽名:
年 月 日
六、院系意見(jiàn)
負(fù)責(zé)人簽名:
年 月 日
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))指導(dǎo)記錄
題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)

  第 1 次指導(dǎo)記錄

  以授課的方式,講解了畢業(yè)論文的相應(yīng)注意事項(xiàng)和選題事宜,并規(guī)定了完成畢業(yè)論文的開(kāi)題報(bào)告,要求在指定期限提交審核。通過(guò)此次指導(dǎo),使學(xué)生明確了畢業(yè)論文的重要性和價(jià)值性,確定了畢業(yè)論文的研究方向和大綱。


學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日

  第 2 次指導(dǎo)記錄

  以授課的方式,講述了相關(guān)論文的格式和一些有代表性的論題以及閱讀資料的重要性,重點(diǎn)指導(dǎo)閱讀相關(guān)資料,并指點(diǎn)出寫(xiě)作方法和代表性題目的重難點(diǎn)。要求學(xué)生借閱EDA方面的資料書(shū),仔細(xì)研讀,重點(diǎn)掌握VHDL語(yǔ)言和FPGA的設(shè)計(jì)方法,并規(guī)定了一些硬性檢查指標(biāo),以考核論文課題相關(guān)知識(shí)的掌握程度。


學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
3 次指導(dǎo)記錄
在EDA實(shí)驗(yàn)室,以授課的方式,仔細(xì)講解了實(shí)驗(yàn)箱的相關(guān)硬件組成、相關(guān)硬件的詳細(xì)原理及其其他應(yīng)用和下載調(diào)試的注意事項(xiàng)。使學(xué)生知道了實(shí)驗(yàn)箱的硬件構(gòu)造和實(shí)驗(yàn)箱完成各個(gè)課題所對(duì)應(yīng)的硬件資源。
學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
4 次指導(dǎo)記錄
以短信形式要求了一系列關(guān)于畢業(yè)論文計(jì)劃進(jìn)程表的制定、論文的格式和細(xì)節(jié)方面的內(nèi)容和規(guī)范,在構(gòu)思論文的框架、行文及思想表達(dá)上給于學(xué)生補(bǔ)充。要求學(xué)生規(guī)定時(shí)間提交紙質(zhì)初稿,以便留檔和糾錯(cuò)。
學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
5 次指導(dǎo)記錄
以短信形式告知,論文中各級(jí)標(biāo)題的行距不合適,各個(gè)段之間是沒(méi)有段間距的,除一級(jí)標(biāo)題外,其他各級(jí)標(biāo)題最后一個(gè)數(shù)字后不能有點(diǎn)。封面頁(yè)不能有頁(yè)碼、頁(yè)眉橫線。頂層模塊圖和各個(gè)子模塊圖并不對(duì)應(yīng)。需要學(xué)生在以上問(wèn)題上好好修改。

學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
6 次指導(dǎo)記錄
講學(xué)生集中起來(lái),發(fā)給學(xué)生Max+PlusII軟件的學(xué)習(xí)資料,和VHDL相關(guān)的學(xué)校資料,要求學(xué)生結(jié)合所借課本和所選課題,完全熟悉VHDL語(yǔ)言和Max+PlusII軟件操作和所對(duì)應(yīng)課題的邏輯仿真和波形仿真實(shí)現(xiàn)。并要求繼續(xù)在初稿修改的基礎(chǔ)上完善初稿并提交中稿,做出相應(yīng)的糾錯(cuò)。
學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
7 次指導(dǎo)記錄
以短信形式告知,論文的中英文摘要不是很對(duì)應(yīng),英文摘要的標(biāo)題每個(gè)單詞的首字母沒(méi)有大寫(xiě),內(nèi)容上不是太翔實(shí),需要繼續(xù)完善。目錄中的一級(jí)標(biāo)題沒(méi)有用黑體四號(hào),仿真波形圖和頂層電路圖不是很清楚,需要重新插入。
學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
8 次指導(dǎo)記錄
  以面授的方式,論文及手冊(cè)的頁(yè)邊距不是上、下、右邊距為2.5cm,左邊距為3.0cm。引言不是黑體四號(hào)居中,還有部分所插入的圖是不清晰的,有一頁(yè)只有一行文字,空白處太大。封面頁(yè)的各行文字不是太對(duì)齊。
學(xué)生簽名: 指導(dǎo)教師簽名: 年 月 日
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))中期檢查表
題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)
計(jì)劃完成時(shí)間2012年 04月 20日

  一、現(xiàn)階段任務(wù)落實(shí)情況和成效

  初稿已經(jīng)完成,并在老師的指導(dǎo)下發(fā)現(xiàn)了很多問(wèn)題。提示論文觀點(diǎn)存在的問(wèn)題,調(diào)整文章布局,在行文、語(yǔ)言表達(dá)等提出觀點(diǎn)。主要體現(xiàn)在對(duì)于VHDL介紹和EDA設(shè)計(jì)步驟的細(xì)化、各個(gè)分模塊和頂層總體模塊的詳細(xì)設(shè)計(jì)等內(nèi)容上。在論文書(shū)寫(xiě)的格式上也被老師指出了很多錯(cuò)誤的,具體在各個(gè)標(biāo)題的字號(hào)、間距,頁(yè)碼位置,頁(yè)眉頁(yè)腳的內(nèi)容格式,封面頁(yè)的字體和布局格式,英文摘要里的英文語(yǔ)法錯(cuò)誤。

  現(xiàn)在我對(duì)于整個(gè)論文題目所設(shè)計(jì)的內(nèi)容和知識(shí)從大體上有了十分感性的認(rèn)識(shí)了,熟悉了EDA設(shè)計(jì)的步驟和具體方法,電子琴的音階和樂(lè)譜的結(jié)構(gòu)知識(shí),還有待更深入進(jìn)去,解決更多的細(xì)節(jié)性問(wèn)題,有待完善整個(gè)論文的觀點(diǎn)和行文,爭(zhēng)取做出好論文。

  二、后續(xù)工作計(jì)劃、目標(biāo)和途徑

  爭(zhēng)取盡快在老師指導(dǎo)的記錄基礎(chǔ)上完成論文的中稿和終稿,掌握VHDL語(yǔ)言的設(shè)計(jì)主體思想和MaxPlusII編譯軟件的熟練操作。

  要完成既定的計(jì)劃和目標(biāo),我心中清楚的知道必須多向同學(xué)和老師請(qǐng)教,以期按質(zhì)按量完成。同時(shí)自己要不斷去思考和查閱資料,來(lái)擴(kuò)大自己的知識(shí)面和在過(guò)程中積累經(jīng)驗(yàn)。以更積極主動(dòng)的態(tài)度去攻克論文中的難點(diǎn)和重點(diǎn),以期完成出一篇高質(zhì)量的論文。


三、指導(dǎo)教師意見(jiàn)
指導(dǎo)教師簽名:
年 月 日
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))答辯資格審查表
姓 名 孫立凱
題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)
規(guī)范檢查 畢業(yè)論文(設(shè)計(jì))完成情況
開(kāi)題報(bào)告
中、外文摘要
參考文獻(xiàn)(10篇以上)
指導(dǎo)師意見(jiàn)(說(shuō)明論文及相關(guān)材料完成情況,是否可進(jìn)行答辯):
指導(dǎo)教師簽名:
年 月 日
院系畢業(yè)論文領(lǐng)導(dǎo)小組意見(jiàn)
組長(zhǎng)簽名:
年 月 日
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))答辯記錄
姓 名 孫立凱指導(dǎo) 趙年順
題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)
答辯組成員
組長(zhǎng)職稱
成員職稱
成員職稱
成員職稱
成員職稱
答辯秘書(shū)職稱
答辯時(shí)間年 月 日 時(shí) 分 ~ 時(shí) 分
陳述、提問(wèn)及回答情況記錄:
答辯秘書(shū)簽名:
年 月 日
  ____學(xué)院本科畢業(yè)論文(設(shè)計(jì))成績(jī)?cè)u(píng)定書(shū)
姓 名 孫立凱
題 目 基于EDA技術(shù)的電子琴設(shè)計(jì)
指標(biāo)
分值
選題
5分
工作 態(tài)度
10分
文獻(xiàn)
綜述
10分
學(xué)術(shù)水平
與動(dòng)手能力
30分
基本理論與技能的應(yīng)用
25分
文字表述與圖表質(zhì)量
10分
規(guī)范
要求
10分
總 分
(100分)
指導(dǎo)老師
評(píng)閱老師
答辯小組 根據(jù)答辯情況(熟悉論文,觀點(diǎn)正確,表達(dá)清晰流暢,對(duì)提問(wèn)能做出合理的解釋或回答與否)評(píng)分

導(dǎo)


評(píng)


見(jiàn)
評(píng)語(yǔ):
指導(dǎo)教師(簽名)
年 月 日
評(píng)



評(píng)


見(jiàn)
評(píng)語(yǔ):
評(píng)閱教師(簽名)
年 月 日
院系答
辯小組 意見(jiàn)
評(píng)語(yǔ):
答辯小組組長(zhǎng)(簽名)
年 月 日
院系論文工作領(lǐng)導(dǎo)小組意見(jiàn)
綜合成績(jī):________ 等級(jí):_________
論文工作領(lǐng)導(dǎo)小組組長(zhǎng)(簽名): 年 月 日
    備注:1. 綜合成績(jī) = 指導(dǎo)老師成績(jī)×50% + 評(píng)閱老師成績(jī)×20% + 答辯小組成績(jī)×30%。

  2. 綜合成績(jī)分?jǐn)?shù)換算成“優(yōu)秀、良好、中等、及格、不及格”五級(jí)制(優(yōu)秀:100≥X≥90;良好:90>X≥80;中等:80>X≥70;及格:70>X≥60;不及格: X<60),按等級(jí)來(lái)填寫(xiě)。

336801